Home > Product > DCS control system > ABB IMMFP03 Multi-function processor module

  • ABB IMMFP03 Multi-function processor module
ABB IMMFP03 Multi-function processor module

ABB IMMFP03 Multi-function processor module

ABB IMMFP03 Multi-function processor module


ABB IMMFP03 Multi-function processor module

Memory Size Enable [Y/N] Y Memory will be sized for Self Test diagnostics. Memory Size Starting Address 00000000 Default Starting Address is $0. Memory Size Ending Address 00100000 Default Ending Address is the calculated size of local memory Base Address of Dynamic Memory 00000000 Beginning address of Dynamic Memory (Parity and/or ECC type memory). It must be a multiple of the Dynamic Memory board size, starting with 0. Default is $0. Size of Parity Memory 00100000 This is the size of the Parity type dynamic RAM mezzanine, if any. The default is the calculated size of the Dynamic memory mezzanine board.Size of ECC Memory Board #0 00000000 This is the size of the first ECC type memory mezzanine. The default is the calculated size of the memory mezzanine

                                                                        

Company advantages

1.Main brands: ABB, GE/FANC, FOXBORO, Invensys TRICONEX, Bentley BENTLY, A-B Rockwell, Emerson, OVATION, MOTOROLA, XYVOM, Honeywell HONEYWELL, Rexroth, KUKA, NI, DEIF, Yokogawa, Woodward WOODWARD, Ryan, Schneider SCHNEIDER, Yaskawa, Moog, PROSOFT, etc

2.Application industries: widely used in metallurgy, oil and gas, glass manufacturing, aluminum, petrochemical, coal mine, paper printing, textile printing and dyeing, machinery, electronic manufacturing, automobile manufacturing, tobacco, plastic machinery, electricity, water conservancy, water treatment/environmental protection, municipal engineering, boiler heating, energy, power transmission and distribution, etc.

This article from the temporal Ming sheng automation equipment co., LTD., reproduced please attach this link: http://www.stockdcs.com/


Obtain the latest price of ABB IMMFP03 Multi-function processor module