Home > Product > DCS control system > ABB DI830 3BSE013210R1 Digital input module

  • ABB DI830 3BSE013210R1 Digital input module
ABB DI830 3BSE013210R1 Digital input module

ABB DI830 3BSE013210R1 Digital input module

ABB DI830 3BSE013210R1 Digital input module


ABB DI830 3BSE013210R1 Digital input module

You can reload the output multiplexer by one of the following events: • At power up or board reset • Assertion of the SCXIbus SS* signal; that is, any access to the module • A high level on SCANCON on the SCXIbus connector, if you set the SCANCONEN bit in the Configuration Register. The output multiplexer will be reloaded to the channel specified by CHAN<2..0> in the Configuration Register. The module output is enabled if either the FOUTEN* bit in the Configuration Register is cleared or SCANCON on the SCXIbus connector is low. Each module in a chassis receives a separate SCANCON, each of which is under the control of Slot 0. Set the AB0EN bit in the Configuration Registers of all the modules involved to perform multimodule scanning.

                                                                        

Professional advantages of the company

1.Main brands: ABB, GE/FANC, FOXBORO, Invensys TRICONEX, Bentley BENTLY, A-B Rockwell, Emerson, OVATION, MOTOROLA, XYVOM, Honeywell HONEYWELL, Rexroth, KUKA, NI, DEIF, Yokogawa, Woodward WOODWARD, Ryan, Schneider SCHNEIDER, Yaskawa, Moog, PROSOFT, etc

2.Application industries: widely used in metallurgy, oil and gas, glass manufacturing, aluminum, petrochemical, coal mine, paper printing, textile printing and dyeing, machinery, electronic manufacturing, automobile manufacturing, tobacco, plastic machinery, electricity, water conservancy, water treatment/environmental protection, municipal engineering, boiler heating, energy, power transmission and distribution, etc.

This article from the temporal Ming sheng automation equipment co., LTD., reproduced please attach this link: http://www.stockdcs.com/


Obtain the latest price of ABB DI830 3BSE013210R1 Digital input module